摘要: 一、IIC基础概念 IIC(Inter-Integrated Circuit)总线是一种由PHILIPS公司开发的两线式串行总线,用于连接微控制器及其外围设备。IIC总线最初为音频和视频设备开发,如今主要在服务器管理中使用,其中包括单个组件状态的通信。例如管理员可对各个组件进行查询,以管理系统的配置 阅读全文
posted @ 2020-03-08 23:26 朱果果 阅读(1122) 评论(0) 推荐(0) 编辑
摘要: https://blog.csdn.net/zqixiao_09/article/details/50937907 阅读全文
posted @ 2020-03-08 17:11 朱果果 阅读(172) 评论(0) 推荐(0) 编辑
摘要: 输入子系统的工作原理和代码分析 目的: a,学会如何分析内核中子系统的代码,从而可以举一反三 b,整体把握框架思想,理解分层中各层的配合方式 c,掌握子系统,增强排错能力 分析代码 1、input核心层:input.c 1 subsys_initcall(input_init); //优先级比mod 阅读全文
posted @ 2020-03-08 16:11 朱果果 阅读(462) 评论(0) 推荐(0) 编辑