2021年8月10日
摘要: 1 代码生成 verilog实现CRC校验,可以充分发挥FPGA的硬件特性,即并行运算的能力。 具体实现方式,可以参考我上一篇博客,关键是用线性反馈移位寄存器表示出多项式,另外注意校验数据高位在先。然后根据电路结构推导出逻辑表达式,再转换成verilog就行了。 更高效的方法是利用现成的代码生成工具 阅读全文
posted @ 2021-08-10 21:46 科技圈小透明 阅读(4570) 评论(0) 推荐(1) 编辑