2021年8月10日
摘要: 1 代码生成 verilog实现CRC校验,可以充分发挥FPGA的硬件特性,即并行运算的能力。 具体实现方式,可以参考我上一篇博客,关键是用线性反馈移位寄存器表示出多项式,另外注意校验数据高位在先。然后根据电路结构推导出逻辑表达式,再转换成verilog就行了。 更高效的方法是利用现成的代码生成工具 阅读全文
posted @ 2021-08-10 21:46 科技圈小透明 阅读(4570) 评论(0) 推荐(1) 编辑
  2021年8月2日
摘要: 1 前言 在 前面的博客 CRC校验原理和verilog实现方法(一) 中,介绍了CRC校验的原理和手动计算过程。本文说一下我在学习CRC校验FPGA实现的一点心得体会。 2 线性反馈移位寄存器 线性反馈移位寄存器简称LFSR,用于产生可重复的伪随机序列,也可用来实现CRC校验。LFSR主要由触发器 阅读全文
posted @ 2021-08-02 23:09 科技圈小透明 阅读(3140) 评论(0) 推荐(0) 编辑
  2021年3月15日
摘要: 1.CRC简介 CRC全称循环冗余校验(Cyclic Redundancy Check, CRC),是通信领域数据传输技术中常用的检错方法,用于保证数据传输的可靠性。网上有关这方面的博客和资料很多,本文尽量简洁的梳理一下它的原理。后面还会结合自己的实践经验(不多),说一说如何使用verilog语言在 阅读全文
posted @ 2021-03-15 22:27 科技圈小透明 阅读(3474) 评论(1) 推荐(1) 编辑