2022年1月20日
摘要: 前一节https://www.cnblogs.com/xuqing125/p/15826609.html讲述了UVM phase整体是怎么运行起来的,里面忽略了objection的概念。 抛开UVM这个框架,我们想最基础的systermverilog到底是怎么控制进程线程的呢? 可以参考我之前的文章 阅读全文
posted @ 2022-01-20 16:18 猪肉白菜_125 阅读(205) 评论(0) 推荐(0) 编辑
摘要: 说到UVM phase我们就知道是UVM一个很重要的特性,从用户角度来讲,其实就是一些很简单的应用规则,能够极大地提升编码的效率,简化代码复杂度,提高debug的效率。至于应用可以参看zhangqiang大佬的第五章,这里就不再赘述。 本文就从源码的角度来看,UVM的phase是怎么工作的? 之前学 阅读全文
posted @ 2022-01-20 15:42 猪肉白菜_125 阅读(573) 评论(0) 推荐(0) 编辑