SystemVerilog 类作为函数的参数

类里面的变量相关的知识:https://www.cnblogs.com/xuqing125/p/15931202.html

抛砖引玉

我们先来看两段代码:

  • 代码一: 参数input int a类型
class bird;
int a;
endclass
class parrot;
int a;
task hungry(input int a);
    for(int i = 0;i<10;i++)begin
        automatic int j = i;
           #2;
           $dispaly("%0t:int a= %0d",$time,a);
    end
endtask
program ex;
parrot B;
int a = 1;

initial begin
    B = new();
    fork 
    begin
     #1 a=2;
     #2 a=3;
     #2 a=4;
     #2 a=5;
     #2 a=6; 
     #2 a=7;
     #2 a=8;
     #2 a=9;
     #2 a=10;
     #2 a=11;
    end
    begin
    #2 $display("xuqing top int a = %0d",$time,a);
    B.hungry(a);
    end
    join
    #20;
end
endprogram

a值的变换.JPG

运行结果:

2: xuqing top int a =2
4: int 2
6: int 2
8: int 2
10: int 2
12: int 2
14: int 2
16: int 2
18: int 2
20: int 2
22: int 2
  • 小结:参数的类型是input int a;那么在执行到函数的时候,a=2,那么在2ns的时候,a的值就被复制到hungry的函数中去了,之后外部a的值变换并不会影响到hungry函数里面的a。

  • 代码二:

class bird;
int a;
endclass
class parrot;
int a;
task hungry(input int a);
    for(int i = 0;i<10;i++)begin
        automatic int j = i;
           #2;
           $dispaly("%0t:int a= %0d",$time,a);
    end
endtask
program ex;
bird A;
parrot B;
int a = 1;

initial begin
    B = new();
    A = new();
    fork 
    begin
     #1 A.a=2;
     #2 A.a=3;
     #2 A.a=4;
     #2 A.a=5;
     #2 A.a=6; 
     #2 A.a=7;
     #2 A.a=8;
     #2 A.a=9;
     #2 A.a=10;
     #2 A.a=11;
    end
    begin
    #2 $display("xuqing top int a = %0d",$time,A.a);
    B.hungry(A.a);
    end
    join
    #20;
end
endprogram

运行结果:

2: xuqing top int a =2
4: int 2
6: int 2
8: int 2
10: int 2
12: int 2
14: int 2
16: int 2
18: int 2
20: int 2
22: int 2
  • hungry的参数类型跟代码一是相同的,不同的地方是调用的时候传参用的是A.a.

  • 结果跟代码一完全相同,因为参数的类型是input int a,并没有变化,处理的过程仍然是一样的,在入口处复制a的值。

  • 代码三:参数是句柄

class bird;
int a;
endclass
class parrot;
int a;
task hungry(bird A);
    for(int i = 0;i<10;i++)begin
        automatic int j = i;
           #2;
           $dispaly("%0t:int a= %0d",$time,A.a);
    end
endtask
program ex;
bird A;
parrot B;
int a = 1;

initial begin
    B = new();
    A = new();
    fork 
    begin
     #1 A.a=2;
     #2 A.a=3;
     #2 A.a=4;
     #2 A.a=5;
     #2 A.a=6; 
     #2 A.a=7;
     #2 A.a=8;
     #2 A.a=9;
     #2 A.a=10;
     #2 A.a=11;
    end
    begin
    #2 $display("xuqing top int a = %0d",$time,A.a);
    B.hungry(A);
    end
    join
    #20;
end
endprogram

运行结果:

2: xuqing top int a =2
4: int 3
6: int 4
8: int 5
10: int 6
12: int 7
14: int 8
16: int 9
18: int 10
20: int 11
22: int 11

a值的变换.JPG

  • hungry的参数是个句柄,相当于一个地址,但地址上的值是多少是对象决定的。

代码四:参数是句柄指向扩展类的对象

class bird;
int a;
endclass
class dog extends bird;
int a;
endclass
class parrot;
int a;
task hungry(bird A);
    for(int i = 0;i<10;i++)begin
        automatic int j = i;
           #2;
           $dispaly("%0t:int a= %0d",$time,A.a);
    end
endtask
program ex;
bird A;
parrot B;
dog C;
int a = 1;

initial begin
    B = new();
    A = new();
    C = new();
    fork 
    begin
     #1 A.a=2;
     #2 A.a=3;
     #2 A.a=4;
     #2 A.a=5;
     A = C;
     #2 A.a=6; 
     #2 A.a=7;
     #2 A.a=8;
     #2 A.a=9;
     #2 A.a=10;
     #2 A.a=11;
    end
    begin
    #2 $display("xuqing top int a = %0d",$time,A.a);
    B.hungry(A);
    end
    join
    #20;
end
endprogram

运行结果:

2: xuqing top int a =2
4: int 3
6: int 4
8: int 5
10: int 5
12: int 5
14: int 5
16: int 5
18: int 5
20: int 5
22: int 5

小结:

  • 首先明确句柄就是一个类似于指针的东西。
  • A = C;相当于A指向的对象发生了变化。A的地址发生了变化。
  • 当指针作为一个参数的时候,其实在入口处也有一个tmp(也可以理解为一个地址) = A;在整个函数的过程中tmp是不会发生变化的。

代码五:参数是句柄的扩展

class bird;
int a;
endclass
class dog extends bird;
int a;
endclass
class parrot;
int a;
task hungry(bird A);
    for(int i = 0;i<10;i++)begin
        automatic int j = i;
           #2;
           $dispaly("%0t:int a= %0d",$time,A.a);
    end
endtask
program ex;
bird A;
parrot B;
dog C;
int a = 1;

initial begin
    B = new();
    A = new();
    C = new();
    fork 
    begin
     #1 C.a=2;
     #2 C.a=3;
     #2 C.a=4;
     #2 C.a=5;
     #2 C.a=6; 
     #2 C.a=7;
     #2 C.a=8;
     #2 C.a=9;
     #2 C.a=10;
     #2 C.a=11;
    end
    begin
    #2 $display("xuqing top int a = %0d",$time,C.a);
    B.hungry(C);
    end
    join
    #20;
end
endprogram

运行结果:

2: xuqing top int a =2
4: int 0
6: int 0
8: int 0
10: int 0
12: int 0
14: int 0
16: int 0
18: int 0
20: int 0
22: int 0
  • 相当于在A=C.其实还是那个问题,(当有同名变量的时候)如果不用super,C里面是看不到A的变量的。
  • 当没有同名变量的时候,C是可以看到A里面的变量的。

代码六:参数是句柄的扩展,注释掉扩展类的int a.

class bird;
int a;
endclass
class dog extends bird;
//int a;
endclass
class parrot;
int a;
task hungry(bird A);
    for(int i = 0;i<10;i++)begin
        automatic int j = i;
           #2;
           $dispaly("%0t:int a= %0d",$time,A.a);
    end
endtask
program ex;
bird A;
parrot B;
dog C;
int a = 1;

initial begin
    B = new();
    A = new();
    C = new();
    fork 
    begin
     #1 C.a=2;
     #2 C.a=3;
     #2 C.a=4;
     #2 C.a=5;
     #2 C.a=6; 
     #2 C.a=7;
     #2 C.a=8;
     #2 C.a=9;
     #2 C.a=10;
     #2 C.a=11;
    end
    begin
    #2 $display("xuqing top int a = %0d",$time,C.a);
    B.hungry(C);
    end
    join
    #20;
end
endprogram

运行结果:

2: xuqing top int a =2
4: int 3
6: int 4
8: int 5
10: int 6
12: int 7
14: int 8
16: int 9
18: int 10
20: int 11
22: int 11
posted on 2022-02-25 10:11  猪肉白菜_125  阅读(337)  评论(0编辑  收藏  举报