2016年1月27日
摘要: 逻辑设计, 顾名思义, 只要理清了 逻辑 和 时序, 剩下的设计只是做填空题而已。 下面给出了有限状态机的标准设计,分别为 VHDL 和 Verilog 代码 1 有限状态机 2 VHDL模板一 3 Verilog模板一 参考资料: <Circuit Design with VHDL> chapte 阅读全文
posted @ 2016-01-27 23:02 飞鸢逐浪 阅读(879) 评论(0) 推荐(0) 编辑