Learning

导航

2016年8月30日 #

I2C VHDL程序

摘要: http://blog.sina.com.cn/s/blog_9bd80b7601012o9y.html library ieee ; use ieee.std_logic_1164.all ; use ieee.std_logic_arith.all ; use ieee.std_logic_un 阅读全文

posted @ 2016-08-30 21:00 xinjie 阅读(1524) 评论(0) 推荐(0) 编辑