摘要: -- Quartus VHDL Template -- Clearable flipflop with enable LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY decoder_3_to_8 IS PORT ( a,b,c,g1,g2a,g2b : IN STD_LOGIC; y :OUT STD_LOGIC_VECTOR(7 ... 阅读全文