2016年11月12日
摘要: 看到标题大家或许会惊讶,怎么会出这鸟东西,看看下面截图 你们猜一猜这是什么情况,是怎么出来的。很多情况下,客户会说lattice的东西真尼玛烂,总是各种问题,但是很多情况下是用户瞎搞出来的,当然不排除lattice的环境本身也有bug. 说说起因吧,客户发截图过来如下,我说你看看先啊,这些应该不是问 阅读全文
posted @ 2016-11-12 23:54 小诸葛叶 阅读(599) 评论(0) 推荐(0) 编辑
  2016年8月28日
摘要: Reveal 使用说明 最近真的是太忙太忙了,所以好久都没有写帖子了,以前本想每天都写一些帖子,分享自己调试学习过程中遇到的问题,让lattice爱好者门快速入门。不发牢骚了,以后只要有时间都写一些帖子分享给大家吧。 第一步:插入reveal inserter,点击图标,之后出来一个对话框,如下 你 阅读全文
posted @ 2016-08-28 15:38 小诸葛叶 阅读(2758) 评论(2) 推荐(0) 编辑
  2016年7月3日
摘要: 最近有个大客户在调试的时候,一直向我抱怨,我们的在线调试工具reveal一直报错,报错如下图,或者diamond闪退。经过我详细的调试,我可以很肯定的告诉大家,这个绝逼是客户没有按照规范使用造成的。 在此我把正确使用reveal的流程,写给大家。 第一步:插入reveal inserter,点击图标 阅读全文
posted @ 2016-07-03 00:21 小诸葛叶 阅读(2722) 评论(4) 推荐(1) 编辑
  2016年7月2日
摘要: 遇到各种各样的客户,就会遇到各种各样的问题 这是FAE这个岗位性质决定的。 客户用diamond3.7,一直给我抱怨说你们的lattice的东西怎么那么烂,连管脚都没法绑定。具体问题描述如下图1,他的时钟脚一直处于unconnected状态(ERROR - Port 'i_clk' is uncon 阅读全文
posted @ 2016-07-02 12:23 小诸葛叶 阅读(2142) 评论(0) 推荐(0) 编辑
摘要: 最近据客户反映,在lattice官网上下载的例程代码,在综合的时候报错。客户下载的是官网MIPI csi2 发送的代码,具体名字叫CSI2TXReferenceDesign。当下载下来编译综合的时候就报了 net n2 is constantly driven from multiple place 阅读全文
posted @ 2016-07-02 11:44 小诸葛叶 阅读(5444) 评论(2) 推荐(3) 编辑
  2016年6月5日
摘要: 今天在给客户用modelsim全自动化仿真化仿真DDR SDRAM Controller的时候,报了如下图的错误。我开始的时候怀疑是不是lattice的软件太垃圾,又出现奇奇怪怪的问题了。折腾了一宿,发现我错怪了lattice,其实是modelsim的问题。我用的是modelsim10.2,报错来的 阅读全文
posted @ 2016-06-05 23:42 小诸葛叶 阅读(691) 评论(0) 推荐(0) 编辑
摘要: 这几天给客户调试DDR3 SDRAM Controllerd发现个很奇怪的问题。客户之前用的IP是DDR3 SDRAM Controllerd 1.4,客户想升级为DDR3 SDRAM Controllerd 3.0。客户直接双击之前的工程,然后regenerate,发现报错了,如下图。然后我就思考 阅读全文
posted @ 2016-06-05 23:25 小诸葛叶 阅读(359) 评论(0) 推荐(0) 编辑
摘要: diamond在生成IP和的时候,会生成仿真此IP所需的脚本和激励等相关文件。假若要在modelsim上进行全自动化仿真的话,只需要写一个简单的批量处理脚本(.bat)即可。 但是这几天给客户调试的时候发现,安装了diamond3.7的话,在双击.bat的时候,调出来的是Active而不是model 阅读全文
posted @ 2016-06-05 22:52 小诸葛叶 阅读(1302) 评论(0) 推荐(0) 编辑
摘要: 对于多位宽的数据线,在diamond xxx之前,管脚绑定格式是(假如数据是input wire[1:0] din) LOCATE COMP "din_0" SITE "P16" ;LOCATE COMP "din_1" SITE "P15" ;/*******这种格式是不是很变态,和altera、 阅读全文
posted @ 2016-06-05 22:36 小诸葛叶 阅读(3307) 评论(0) 推荐(0) 编辑