vhdl 数组

TYPE matrix_index is array (511 downto 0) of std_logic_vector(7 downto 0);
signal cnt_freq : matrix_index;

posted @ 2018-06-22 21:22  喵喵小学僧  阅读(1423)  评论(0编辑  收藏  举报