2013年8月18日

转载:锁存器,触发器和寄存器

摘要: 来源:http://www.cnblogs.com/wg2011/archive/2012/03/05/2380275.html锁存器,触发器和寄存器Part I :图3.1所示的电路由三部分不同的存储单元组成:一个D锁存器、一个上升沿触发的D触发器和一个下降沿触发的D触发器。图3.1第四部分的电路与波形图执行以下步骤:1新建一个工程。2编写一个包含了如上3个不同存储单元的VHDL实体。试写代码来实现如图3.1中所示的电路。3编译并用逻辑单元映射结果来检测电路。并检测使用一个LUT实现的D锁存器和使用目标FPGA芯片提供的触发器来实现的D触发器。4新建一个.vwf文件,并指定输入输出波形。画出 阅读全文

posted @ 2013-08-18 20:30 小巫tys 阅读(799) 评论(0) 推荐(0) 编辑

导航