vivado第一天从建立文件运行小程序开始

 

 

 

今天,是第一天什么也处于懵懂的时候,首要的任务就是建立一个文件

  • 首先打开vivado运行软件,

如图所示,选择第一个create new project 来新建文件

  • 选择存储路径,一路向下

当选择芯片的时候,根据需要,没必要全部了解,

 我们实验室用的是这一款,所以,我就饿选择这一款了:

  • 然后一直点击next就到finsh了。
  • 找到source界面
  •  

  • 选择design source右击选择 add source弹出界面,选择吐过所示
  •  

  • 点击next出现:
  •  

  • 点击create file 出现如果,名字自己定义,点击ok,继而finsh,完成后弹出对话框:
  • 设置如下,这只是一个简单的与门,所以就可以这么设置了

     

  •  

  •  

    双击add就出现编辑界面了。进行编辑。
  • 编辑完成后建立testbench文件,与上一次建立相同,但是选择的时候,选择如下图:
  •  

  •  

  • 还是双击打开进行编译

  • 选择

     

  • 进行仿真

 

posted @ 2017-04-18 15:59  小陈同学啦  阅读(2296)  评论(0编辑  收藏  举报