摘要: Quartus ii是针对Altera FPGA的一款EDA软件,在此以一个led闪烁工程来简单说一下基本操作: 一、注意事项 Quartus ii最大的注意事项就一点:工程名称以及工程里面的文件名称,都不能出现中文! 二、工程建立 1.新建一个文件夹,并在里面按照自己习惯新建一些常用的文件夹,以后 阅读全文
posted @ 2018-11-15 16:07 咸鱼IC 阅读(8742) 评论(0) 推荐(2) 编辑