2015年5月25日

利用Xilinx中的ROM构造查找表来计算sin和cos的方法探讨

摘要: 1.使用matlab制作.coe文件查找表的构造构造256点的正余弦表exp(-j*2*pi*(0:255)/256),分别得到 cos和sin的查找表matlab代码: 求sinfid = fopen('sin.txt','a' );str1 = 'MEMORY_INITIALIZATION_RA... 阅读全文

posted @ 2015-05-25 17:38 elegang 阅读(2176) 评论(0) 推荐(0) 编辑

导航