摘要: module top_module ( input clk, input reset, // Synchronous reset input data, output start_shifting); reg[4:0]cs,ns; parameter IDLE=5'b00001,s1=5'b0001 阅读全文
posted @ 2022-05-25 10:45 Real马锥 阅读(185) 评论(0) 推荐(0) 编辑