Vivado FPGA代码固化流程(以genesys2为例)

第一步:先综合,然后打开综合设计

在这里插入图片描述

第二步:点击Tools—Edit Device Properties(注意,必须按照第一步打开综合后的设计,才能找到这个选项),然后配置相应参数。

在这里插入图片描述
可以选择压缩bit流,这样后面固化时会快一些。
在这里插入图片描述
选择合适的固化速率,可以适当设置高一些(默认是3MHZ),因为固化本身比较慢;设置SPI 的bus width,因为flash使用的是QSPI,也即SPI4x(后面还会设置此参数),所以这里要设置为4。
在这里插入图片描述
选择编程模式,因为我们是将程序固化到flash中,以后上电自动从flash读取程序,所以这里要勾选上。JTAG是一直且默认勾选的。
在这里插入图片描述
点击OK进行下一步。

第三步:生成bit流

第四步:生成.mcs内存配置文件

在这里插入图片描述
在这里插入图片描述
点击OK,即可在指定的路径下生成所需的.mcs文件

第五步:打开硬件管理器,连接开发板。

在这里插入图片描述

第六步:往flash下载.mcs文件

在这里插入图片描述
在这里插入图片描述
点击OK,然后出现下面的界面,等待下载完成即可。
在这里插入图片描述

第七步:断电重启

注意: 一定要注意将自己开发板上设置编程模式的跳线帽跳到QSPI模式。还有就是固化完成后,不会立即运行程序,需要断电重启,此时开发板会自动从flash读取程序并运行。这样以后每次上电都会自动加载并运行这段程序,除非再次固化别的程序!!!

posted @ 2021-04-23 22:24  耐心的小黑  阅读(446)  评论(0编辑  收藏  举报