EazyChange

导航

 

2015年4月7日

摘要: module DS(input CLK,input [3:0] key,output reg[3:0] led);reg[3:0] key_r;wire[3:0] key_p;always @ (posedge CLK)begin key_r<={key[3],key[2],key[1],key... 阅读全文
posted @ 2015-04-07 21:23 EazyChange 阅读(1346) 评论(0) 推荐(0) 编辑