2013年11月9日

RGB转YCrCb笔记

摘要: 在一个小的项目中,需要用FPGA实现一个RGB格式的图像数据转换成YCrCb格式,然后再YCrCb444转成YCrCb422输出。这里暂且先记录下自己RGB转YCrCb这个模块的实现方式。转换公式: Y = 0.257R + 0.504G + 0.0.098B + 16 Cb = -0.148R - 0.291G + 0.439B + 128 Cr = 0.439R - 0.368G - 0.071B + 128 Y = (132R + 258G + 50B ) >> 9 + 16 Cb = (-76R - 149G + 225B ) >> 9 + 128 Cr = ( 阅读全文

posted @ 2013-11-09 10:00 无情剑客lufy 阅读(1693) 评论(0) 推荐(0) 编辑

导航