摘要: # 工程组织 可以将一些公用的变量定义成package,放到同一个sv文件中,然后在需要用到公用变量的文件中通过import来引用变量,创建filelist时,需要通过+incdir+(包含package的sv文件的路径)来指示,并将这些package的sv列在其他sv前面 ``` package 阅读全文
posted @ 2023-07-05 20:49 笑着刻印在那一张泛黄 阅读(73) 评论(0) 推荐(0) 编辑