verdi常用操作

1. Verdi代码界面的有用操作

1.1. 快速定位某个模块

在vedi左侧层次浏览器窗格鼠标右键 -> Show Search/Filter(CTRL+F) -> 输入字符串搜索(例如ircu)-> 回车(多按几次)

1.2. 搜索源代码

https://zhuanlan.zhihu.com/p/82478659

(1)Find Scope(Shift+S)
(2)Find Signal/Instance/instport (Shift+A)
(3)find string(/)

1.3. 在代码中显示信号数值

按x键

1.4. 永久设置verdi字体

https://blog.csdn.net/llxxyy507/article/details/121313210

(1)修改Verdi默认字体
Verdi 提供名叫Configure Rule Set form的配置文件,以.rc为后缀名,verdi按照如下优先级去检索配置信息,找到后将不再向下寻找。

  • -rcFile 命令行参数 verdi -ssf -rcFile 优先级最高
  • NOVAS_RC 环境变量
  • ./novas.rc
  • $HOME/novas.rc
  • /etc/novas.rc

(2)用户可以通过如下操作去设置默认字体

(a)通过verdi界面设置大小:Tools->Preferences->General->Appearance
(b)关闭verdi,设置值将会保存到当前路径下的novas.rc
(c)将novas.rc备份到常用的文件夹,例如 ~ 目录下
(d)在~/.cshrc中增加setenv NOVAS_RC /home/xxx/novas.rc
(e)重新source ~/.cshrc,再打开verdi默认配置即加载了 ~ 目录下的novas.rc

1.5. 启动verdi时指定波形和rc

verdi参数:
-ssf 指定波形FSDB文件
-sswr 指定波形restore文件,可以将已保存的信号恢复

1.6. 显示等效的信号或者信号定义

鼠标右键点击某个信号-signal-show equivalent signals
鼠标右键点击某个信号-signal-show signal definition


2. Verdi看波形的常用操作

2.1. 快捷键

快捷键:(大写字母=Shift+小写) 作用
L 重新加载波形或设计文件,这个很方便,在新一次仿真完成之后Roload即可
r restore signals 保存波形信号列表
g get, signlas添加信号,显示波形
n next, Search Forward选定信号按指定的值(上升沿,下降沿,both,指定Value)向前跳转
N 与n功能相同,方向向后
c color,调整所选信号的波形显示颜色,线的粗细和类型,非常方便Debug
m move将信号移动到黄线位置,鼠标中键选择位置+鼠标左键选择信号+m键可移动信号
h hierarchy显示信号的绝对路径
z Zoom Out波形缩小,一般配合鼠标放大非常方便
Z Zoom In 波形放大
f full, Zoom All波形全部显示
Delete 删除所选信号
Ctrl+Right Arrow 向右移动半屏
Ctrl+Left Arrow 向左移动半屏
l last view,上次波形位置,相当于Vim里的``或''
b begin移动Cursor到波形开头
e end移动Cursor到波形结尾

2.2. 鼠标

(1)左键:用于选择信号
(2)右键:调出菜单
(3)中间:单击移动黄线,拖动信号可移动位置排列顺序
(4)滑轮:上下滚屏,按住shift键可实现左右滚屏
(5)左键圈定波形范围:按选定缩放
(6)双击信号波形: 跳转到代码,并用绿色高亮该信号
(7)双击信号:按位展开(expand),Struct展开下一层。再双击折叠(collapse)
(8)右键信号名->Bus Operations->Expand as Sub-bus->可以按指定位宽展开,比如512bits的信号分成4个128的,方便查看

2.3. 其他

(1)菜单栏中的By:可以选择Search不同的值:Any Change, Rising Edge, Falling Edge, Bus Value等
(2)信号可以分组,组合信号都可以Rename
(3)右键 Add->Add Blank 可以让信号分组看起来更清晰

3. 其他操作

(1)对波形进行分割
有的时候fsdb波形文件太大,用verdi加载进来常常会卡崩掉,需要对波形文件进行分割,只观察我们想看的某一段,有2种方法:

  • 直接切分fsdb
    fsdbextract source.fsdb -bt 10000ns -et 20000ns -o output.fsdb
  • verdi打开fsdb时选择时间段
    操作方式:nWave窗口菜单-Open,选择fsdb文件到Target Name后,点击左下方的Options按钮,在弹出来的对话框中选中Open File By Time Range,然后点击OK按钮,在弹出的对话框中就可以选择感兴趣的时间起止范围了
posted @ 2022-03-15 20:45  笑着刻印在那一张泛黄  阅读(8378)  评论(1编辑  收藏  举报