modelsim使用命令

1、 安装

先取消文件 mgls64.dll 的只读属性

  1. 把MentorKG.exe和patch_dll.bat一起拷贝到modelsim安装目录的win32或win64下(modelsim一定要在这个目录下)

  2. 运行patch_dll.bat,产生license后,放到任意目录下

  3. 设置环境变量MGLS_LICENSE_FILE,变量值为license放置的目录。

  4. OK!!!

2、GUI基本使用

modelsim使用教程

  1. 建立工程:File-New-Project

  2. 打开工程:File-Open-文件类型选择Project Files,即*.mpf

  3. 编译:Compile-Compile all

  4. 仿真
    (1)Simulate-Start Simulation-Design Unit选择top testbench (如果没有信号则将top_tb和RTL都选上),将“Enable Optimiation”取消勾选,此种方法可能出错modelsim无法仿真的问题
    (2)使用命令行:vsim -voptargs=+acc work.top_tb
    (3)鼠标右键(推荐)

3、命令行操作总结

modelsim使用命令
Modelsim仿真流程及相关命令
modelsim do文件简介及仿真波形分析注意事项

3.1 建立sim.bat批处理文件

cd C:\Users\11567\AppData\Local\Packages\CanonicalGroupLimited.Ubuntu20.04LTS_79rhkp1fndgsc\LocalState\rootfs\home\wt\01-sim
vsim -do sim.tcl

其中,第一行是进入仿真目录所在文件夹,这里是/home/wt/01-sim;
第二行是在modelsim的sim命令行里去执行sim.tcl

3.2 编写sim.tcl脚本

#!/usr/bin/bash


# clear output window
.main clear


#  testbench and design name
set TB counter_tb
set TOP_DESIGN counter

# =========================================================================
# 1. Create and map library
# =========================================================================
if [file exists work] {
    vdel -all
}

vlib work    
vmap work work

# =========================================================================
# 2. Compile
# =========================================================================

#set path ..
#vlog $path/*.v
#vlog ./$TB.sv ./01-rtl/01_fpu_div4/*.sv
vlog ./$TB.v ./01-rtl/00-counter/*.v

# =========================================================================
# 3. Load design to simulator
# -novopt: simulation optimization option
# =========================================================================
vsim -t 1ns -voptargs=+acc work.$TB

# =========================================================================
# 4. Simulate
# color: green cyan yellow pink violet orchid gray40.
# radix: unsigned binary hex decimal.
# =========================================================================
add wave -group {TB}  -radix hex  $TB/* 
add wave -group {TOP} $TOP_DESIGN\_u/*

run 1000ns

3.3

在桌面用鼠标双击sim.bat文件,会弹出如下terminal并启动modelsim

modelsim启动并出现仿真波形:

posted @ 2020-02-23 10:26  笑着刻印在那一张泛黄  阅读(391)  评论(0编辑  收藏  举报