上一页 1 ··· 7 8 9 10 11 12 下一页
摘要: 文章来源:http://www.cnblogs.com/scnutiger/archive/2010/02/06/1664980.html在Quartus7.2之后的版本中,除了原有的基于avalon-mm总线的DMA之外,还增加了Scatter-Gather DMA这种基于avalon-ST流总线的DMA IP核,它更适合与大量数据流传输的场合,使用起来比较灵活,增加了与外设流器件配合的能力。由于网上关于SG-DMA介绍的资料比较少,因此这里简单介绍一下SG-DMA的使用,利用它可以搭配Altera的千兆网MAC核来实现千兆网方面的应用。SG-DMA的数据手册已经介绍得非常详细,具体的相关寄 阅读全文
posted @ 2012-12-10 17:52 天马行空W 阅读(2776) 评论(0) 推荐(0) 编辑
摘要: 在QII11.1版本中已经没有像QII10.0之前版本的pins assignment 的选项了,取而代之的是Assignment editor和pin planner这两个工具,使用方法也比较简单(chapter 5 --->Creating Pin Assignments With the Pin Planner)。在此就不再详述.在QII中对MegaManger 生成的Megafunction IP模块进行例化,并分配引脚按照QII11.1的handbook(Volume 2 ,section2 ,Chapter 5: I/O Management)中介绍的:For more in 阅读全文
posted @ 2012-12-10 17:29 天马行空W 阅读(777) 评论(0) 推荐(0) 编辑
摘要: 参考文章:http://www.cnblogs.com/wg2011/archive/2012/02/27/2369677.html使用Quartus II 的版本及PC机操作系统版本为11.1 sp2 WIN7 32位前言 本文档根据 ALTERA 的 FIFO user guide 《SCFIFO and DCFIFO Megafunctions》 (August 2012 Altera Corporation)一文整理而来。 本文档仅供学习、讨论使用,请勿用于商业用途。在使用该文档过程中有任何疑问请至笔者博客进行交流探讨。笔者将随时可能对本教程中的内容进行更改,恕不事先通知。--... 阅读全文
posted @ 2012-12-07 12:14 天马行空W 阅读(11914) 评论(0) 推荐(2) 编辑
摘要: 参考文章:http://blog.pfan.cn/yanyoushuai/34540.html FIFO即First in first out,也叫先入先出电路,可以实现数据先进先出的存储器件。 FIFO一般普遍用作数据缓冲器,也可以用于顺序数据的缓冲,比如音频信号或视频信号。另一个广泛的应用是在处理器之间的通讯中。FIFO的基本单元是寄存器。作为存储器件,FIFO的存储能力是由其内部定义的存储寄存器的数量决定。FIFO存储器一般以数据量的(深度,即寄存单元的个数)deepth*width(宽度,即一寄存单元的bits)的形式来说明所采用的基本结构。 第一代FIFO存储器是基于“导向”理... 阅读全文
posted @ 2012-12-05 11:33 天马行空W 阅读(6563) 评论(0) 推荐(1) 编辑
摘要: Quartus II 11.0 套件较以往QII软件有了很大的change,在仿真器上主要体现为原有的Quartus II simulator没有了,可能是因为为减小软件体积,增强别的功能的原因,了解QII 11.0 与以往版本的区别和改进对于提高学习速度,减少不必要的疑惑是十分有用处的!一、关于Quartus II的安装关于Quartus II 10.0 以前版本,安装都大同小异,Bingo曾经写过“Quartus II 9.1的安装指南.pdf”,下载地址为http://www.chinaaet.com/lib/detail.aspx?id=86239,Quartus II 11.0的.. 阅读全文
posted @ 2012-12-04 11:59 天马行空W 阅读(4722) 评论(0) 推荐(0) 编辑
摘要: 文章来源 :http://www.cnblogs.com/Efronc/archive/2010/06/25/1765446.html前言:为了搞清Modelsim加载altera仿真库的问题我已经足足查了两天资料,这篇文章是我第一天写的,当时有些地方只是会做,可是为什么这样做就不是很了解了。经过昨天一天的努力,现在基本上明白了整个过程。对这篇文章进行整体的完善和补充(本人水平有限,如有错误请留言指正)。用Modelsim对Quartus II工程进行时序仿真(在此只讨论时序仿真)可分两种方式:一种是在Quartus II中设置run gate-level simulation automat 阅读全文
posted @ 2012-12-03 13:14 天马行空W 阅读(809) 评论(0) 推荐(0) 编辑
摘要: 单独使用Questasim 阅读全文
posted @ 2012-11-30 18:53 天马行空W 阅读(21575) 评论(2) 推荐(2) 编辑
摘要: Modelsim仿真产生的文件:VCD,fsdb,WLK 阅读全文
posted @ 2012-11-30 16:39 天马行空W 阅读(3603) 评论(0) 推荐(0) 编辑
摘要: 怎么回事,在用Modelsim仿真QIIFFTIP核的时候出现的问题 ,这个可是用QII11.1的mega wizard manager 生成的一个FFT的模块可是在调用Modelsim 进行compile之后,双击 FFT_10bit_tst之后想看看仿真运行就不行了,报错~而且同一个错误我不知道这是哪里出了问题!还请大家给看看 阅读全文
posted @ 2012-11-29 18:32 天马行空W 阅读(1468) 评论(2) 推荐(0) 编辑
摘要: 最近用上了Opencv2.2,在这里面使用的还有些门道,于是记录下来,供大家参考环境:windows 7+visual studio 2010+opencv 2.1各位进行操作时,最好把其它无关的程序均关掉,最好把杀毒软件关掉,360也都关掉,否则会出现未知的错误如果所在的环境是VS2010,那么必须要用CV2.2 ,CV2.1是针对VS2008的版本1、下载文件OpenCV2.2直接下载opencv2.2 for vs2010的安装版进行安装(下载网址:http://www.opencv.org.cn/index.php/Download)CMAKE 2.8.1http://www.cmak 阅读全文
posted @ 2012-11-09 17:46 天马行空W 阅读(4225) 评论(1) 推荐(0) 编辑
上一页 1 ··· 7 8 9 10 11 12 下一页