摘要: - 查阅了很多资料,无意中看到有篇文章提到,要仿真成功这个IP的话,需要以下三个Library:220model、Altera_mf,还有个最最关键的就是sgate! 另外还有一点需要注意的是,在用modelsim仿真时,在compile的时候,需要把.v、.vo和.tb这3个文件都加上才可以,否则在simulate时会提示错误。 几个简单的系统任务,$readmemb,$readmemh,$fopen,$fdisplay;基本上就可以完成对文件的读写操作。用于读写的文件必须和modelsim建好的工程放在同一个文件夹中,否则会出现打不开文件的现象,即返回的文件句柄为0.摆放位置如下图所示。一 阅读全文
posted @ 2013-05-21 16:51 天马行空W 阅读(1769) 评论(1) 推荐(0) 编辑