摘要: 今天挑了一整天的SOPC builder 还有NiosII虽然软件在和Win XP的兼容性上没有问题,但是每走一步仍然是困难重重,光生成一个最简单的小的系统CPU+ JTAG +CFIfalsh +SDRAM做一个hello world 就花了 我一整天的时间但是在hardware上仿真仍然不行,还好在Nios II的run as software中仿真成功确实很复杂,以后再使用SOPC进行开发一定要记住,采用现成的系统,对每一个将要用到的组件要好好看看别人的使用经验来减轻自己的使用压力,节省时间 阅读全文
posted @ 2013-02-25 23:03 天马行空W 阅读(192) 评论(0) 推荐(0) 编辑
摘要: ## This file was automatically generated by the Nios II IDE Flash Programmer.## It will be overwritten when the flash programmer options change.#cd E:/QuartusIIexercise/niosIIprj/software/hello_world/Debug# Creating .flash file for the FPGA configuration"$SOPC_KIT_NIOS2/bin/sof2flash" --of 阅读全文
posted @ 2013-02-25 22:09 天马行空W 阅读(1818) 评论(0) 推荐(0) 编辑
摘要: (原創) 如何有效減少Nios II EDS所編譯程式碼大小? (IC Design) (Nios II)http://www.cnblogs.com/oomusou/archive/2008/01/10/1033967.html减小nios编译过程时间,并且减小申城的文件占用空间 阅读全文
posted @ 2013-02-25 20:41 天马行空W 阅读(188) 评论(0) 推荐(0) 编辑
摘要: 真OO无双博客原創) 如何使用ModelSim-Altera對Nios II仿真? (SOC) (Nios II) (SOPC Builder) (ModelSim) (DE2)http://www.cnblogs.com/oomusou/archive/2008/08/02/nios_ii_modelsim.html 阅读全文
posted @ 2013-02-25 20:38 天马行空W 阅读(299) 评论(0) 推荐(0) 编辑
摘要: Abstract在DE2版子上,除了8MB的SDRAM最大外,排名第2的就是4MB的Flash,本文討論如何在Nios II對Flash進行讀寫。Introduction使用環境:Quartus II 8.0 + Nios II EDS 8.0 +DE2(Cyclone II EP2C35F627C6)or DE2-70 (Cyclone II EP2C70F896C6N)我曾經在(原創) 如何在Nios II使用Zip File System? (IC Design) (Nios II) (DE2)與(原創) 如何讓Nios II一Power On就執行? (SOC) (Nios II) ( 阅读全文
posted @ 2013-02-25 20:14 天马行空W 阅读(1409) 评论(0) 推荐(0) 编辑
摘要: 转自:http://www.cnblogs.com/oomusou/archive/2008/08/08/de2_nios_lite.htmlAbstractDE2 CD所提供的Reference Design無疑是最佳的Nios II範本,他將DE2所有的周邊都驅動了,不過對於初學者來說,一些高級的周邊(如TV Decoder、Audio CODEC等...)暫時用不到,還增加了複雜度,DE2_NIOS_Lite僅留下最常用的周邊與IP,方便初學者學習與debug。Introduction使用環境:Quartus II 7.2 SP3 + Nios II EDS 7.2 SP3 + Mode 阅读全文
posted @ 2013-02-25 19:07 天马行空W 阅读(646) 评论(0) 推荐(0) 编辑
摘要: 在初学FPGA时,总是看别人发的日志,别人分享的经验,受益颇丰!接触了几年后,感觉FPGA还算入门,在阅读riple、特权等大牛的日志中发现其实自己分享学习过程,写写东西对自己也是一种促进,可以对一个问题分析的更透彻,通过与他人交流,双方都能得到一定的心得体会。最近在学习Synplify Pro综合,以前学校做项目时就只用QuartusII综合草草了事,工作了发现有太多的东西需要学习,包括不同的专业领域、不同的开发工具。所以趁现在工作还算轻松时自己恶补一下这些开发软件,先从Synplify Pro下手,现在干的活主要针对Altera器件的,就分享一下它与QuartusII的联合工作方法。在Q. 阅读全文
posted @ 2013-02-25 17:26 天马行空W 阅读(2135) 评论(1) 推荐(0) 编辑
摘要: 对于提高电路时钟频率的方法,最有效的无非是自己设计电路的时候尽量不要在关键路径上的一个时钟周期内做太多组合逻辑的运算,很费时的,能够几个时钟周期平摊的就平摊下;另外,写代码的时候,风格也很重要,尽量不要在关键路径上写三重门运算的,时钟速度很受影响的。做到以上两点的,如果使用FPGA实现的话,以下推荐几种提高时钟频率的方法。用于Altera公司的QuartusII9.1.当然其他版本的工具亦可借鉴。2.3.1 TimeQuest时序分析器2.3.1.1 优势使用 TimeQuest 时序分析器的优势包括:使用方便的 GUI —— TimeQuest 时序分析器提供使用方便的 GUI 以及交互式报 阅读全文
posted @ 2013-02-25 17:18 天马行空W 阅读(6729) 评论(1) 推荐(1) 编辑
摘要: 使用NIOSIDE在下载程序到FLASH的时候发生如下错误:Using cable "USB-Blaster [USB-0]", device 1, instance 0x00Resetting and pausing target processor: FAILEDLeaving target processor paused在真OO无双的博客(原創) 如何解決Nios II的『Leaving target processor paused』的錯誤訊息? (IC Design) (Quartus II) (SOPC Builder) (Nios II)http://www 阅读全文
posted @ 2013-02-25 16:41 天马行空W 阅读(2607) 评论(0) 推荐(0) 编辑