2016年4月1日

CUTE-WRV2.1+TCP core(COM5402)的TCP带宽测试

摘要: 问题的提出 测试TCP的带宽,硬件平台CUTE-WRV2.1,固件版本WRPCV3.0+COM5402。 代码、工具及实现 1. 在固件中,增加模块,收到TCP数据包后不断地往外发数: 2. PC上使用python脚本主动建立TCP连接,然后接收数据. TCP端口号为8000 3. PC上使用spe 阅读全文
posted @ 2016-04-01 14:52 明明柏柏 阅读(589) 评论(0) 推荐(1) 编辑