上一页 1 ··· 25 26 27 28 29 30 31 32 33 ··· 40 下一页
摘要: https://mp.weixin.qq.com/s/-AVJD1IfvNIJhmZM40DemA 实现后入先出(last in, first out)的栈。 参考链接: https://github.com/ucb-bar/chisel-tutorial/blob/release/src/main 阅读全文
posted @ 2018-12-09 21:46 wjcdx 阅读(479) 评论(0) 推荐(0) 编辑
摘要: https://mp.weixin.qq.com/s/3hDzpJiANdwp07hO03psyA 演示使用函数进行代码复用的方法。 参考链接: https://github.com/ucb-bar/chisel-tutorial/blob/release/src/main/scala/exampl 阅读全文
posted @ 2018-12-09 21:45 wjcdx 阅读(375) 评论(0) 推荐(0) 编辑
摘要: https://mp.weixin.qq.com/s/OtiQnE52PwdCpvmzJ6VFnA 奇偶发生器。统计输入中1的个数,如果为偶数则输出0,奇数则输出1。 参考链接: https://github.com/ucb-bar/chisel-tutorial/blob/release/src/ 阅读全文
posted @ 2018-12-09 21:44 wjcdx 阅读(404) 评论(0) 推荐(0) 编辑
摘要: https://mp.weixin.qq.com/s/RQg2ca1rwfVHx_QG-IOV-w 字节选择器。 参考链接: https://github.com/ucb-bar/chisel-tutorial/blob/release/src/main/scala/examples/ByteSel 阅读全文
posted @ 2018-12-09 21:43 wjcdx 阅读(478) 评论(0) 推荐(0) 编辑
摘要: https://mp.weixin.qq.com/s/LKiXUgSnt3DzgFLa9zLCmQ 简单的寄存器在时钟的驱动下,逐个往下传值。 参考链接: https://github.com/ucb-bar/chisel-tutorial/blob/release/src/main/scala/e 阅读全文
posted @ 2018-12-09 21:42 wjcdx 阅读(923) 评论(0) 推荐(0) 编辑
摘要: https://mp.weixin.qq.com/s/SEcVjGRL1YloGlEPSoHr3A 位数为参数的加法器。通过FullAdder级联实现。 参考链接: https://github.com/ucb-bar/chisel-tutorial/blob/release/src/main/sc 阅读全文
posted @ 2018-12-09 21:41 wjcdx 阅读(700) 评论(0) 推荐(0) 编辑
摘要: https://mp.weixin.qq.com/s/X5EStKor2DU0-vS_wIO-fg 四位加法器。通过FullAdder级联实现。 参考链接: https://github.com/ucb-bar/chisel-tutorial/blob/release/src/main/scala/ 阅读全文
posted @ 2018-12-09 21:39 wjcdx 阅读(520) 评论(0) 推荐(0) 编辑
摘要: https://mp.weixin.qq.com/s/Aye-SrUUuIP6_o67Rlt5OQ 全加器 逻辑图如下: ​​ 参考链接: https://github.com/ucb-bar/chisel-tutorial/blob/release/src/main/scala/examples/ 阅读全文
posted @ 2018-12-03 21:27 wjcdx 阅读(1036) 评论(0) 推荐(0) 编辑
摘要: https://mp.weixin.qq.com/s/2vjM-gcauvHnn6KJzlOm4g Chisel的模块和Verilog的模块很相似,都用来定义模块结构(hierarchical structure)。 Chisel的模块定义,包含三部分内容: a. 继承自Module类; b. 定义 阅读全文
posted @ 2018-12-02 13:34 wjcdx 阅读(724) 评论(0) 推荐(0) 编辑
摘要: https://mp.weixin.qq.com/s/rI-CJM6GyI6EUHPZ3uYiFg 如同Verilog中的众多运算符,Chisel也针对自身的数据类型,提供了很多运算符。 Chisel语言内嵌于Scala。在Scala中,基本上所有的运算符都被当做一般的字符,可以作为命名符号(ide 阅读全文
posted @ 2018-12-02 13:33 wjcdx 阅读(2105) 评论(0) 推荐(0) 编辑
上一页 1 ··· 25 26 27 28 29 30 31 32 33 ··· 40 下一页