摘要: https://mp.weixin.qq.com/s/2vjM-gcauvHnn6KJzlOm4g Chisel的模块和Verilog的模块很相似,都用来定义模块结构(hierarchical structure)。 Chisel的模块定义,包含三部分内容: a. 继承自Module类; b. 定义 阅读全文
posted @ 2018-12-02 13:34 wjcdx 阅读(724) 评论(0) 推荐(0) 编辑
摘要: https://mp.weixin.qq.com/s/rI-CJM6GyI6EUHPZ3uYiFg 如同Verilog中的众多运算符,Chisel也针对自身的数据类型,提供了很多运算符。 Chisel语言内嵌于Scala。在Scala中,基本上所有的运算符都被当做一般的字符,可以作为命名符号(ide 阅读全文
posted @ 2018-12-02 13:33 wjcdx 阅读(2105) 评论(0) 推荐(0) 编辑