摘要: https://mp.weixin.qq.com/s/36jreQGpDLCCNfmUwI34lA 模块接口有三种方向:Input/Output/Inout。Chisel在声明模块接口的时候,也需要提供这些信息。 参考链接: https://github.com/freechipsproject/c 阅读全文
posted @ 2018-12-01 22:33 wjcdx 阅读(1008) 评论(0) 推荐(0) 编辑
摘要: https://mp.weixin.qq.com/s/Y26N5P4XOr5e3uyi5XQY-w 不同于Verilog,Chisel中Wire和Reg并不是数据类型,而是数据容器,作为数据的一个特征而存在。 Wire和Reg作为数据容器,只是标识了变量是否可以存值这一个特征。但这不是数据类型的主要 阅读全文
posted @ 2018-12-01 22:31 wjcdx 阅读(1757) 评论(0) 推荐(0) 编辑