摘要: https://mp.weixin.qq.com/s/rXYqiZKuBpAYL8R94zxgRA Chisel允许用户根据需要,把基本数据类型组合成为复合数据类型使用。如C语言里面的结构体,这样可以极大的简化Verilog中输入输出接口的声明和使用。 复合数据类型相关的类如下: ​​ 其中: 1. 阅读全文
posted @ 2018-11-30 21:26 wjcdx 阅读(626) 评论(0) 推荐(0) 编辑