摘要: https://mp.weixin.qq.com/s/ANlBqbDxiqV5BH9TtIxNjg 一. 非阻塞赋值(Non-blocking Assignment)是个伪需求 二. Delay只有两种实现方式? 1. 利用器件(线、们)的物理特性,进行延时;2. 定时延时:使用高频clk和计数寄存 阅读全文
posted @ 2018-09-15 09:50 wjcdx 阅读(600) 评论(0) 推荐(0) 编辑
摘要: https://mp.weixin.qq.com/s/mH84421WDGRb7cuU5FEFIQ Verilog的赋值很是复杂,包括: 1. Continuous assignment; 2. Procedural assignment: a. Blocking Assignment; b. No 阅读全文
posted @ 2018-09-15 09:32 wjcdx 阅读(1274) 评论(0) 推荐(0) 编辑