摘要: 先看一个2个4位的乘法器,得到的结果是8位二进制:a: 1 1 1 1b: 1 1 0 1--------------------- 1 1 1 1//-> result = result + (a result = result + (a result = result + (a<<3),result[3:0]加的是1 0 0 0,移除的三个1其实已经到了reault[6:4],结果III;--------------------a*b 1 1 0 0 0 0 1 1I:result[7:0] = 8'b0 0 0 0_1 1 1 1;//a<<0 re 阅读全文
posted @ 2013-08-17 19:00 MyBooks 阅读(752) 评论(0) 推荐(0) 编辑