摘要: 关于VHDL中Loop State error...loop must terminate within 10,000 iterations错误解决方法 首先比较下面两段代码:(使用while循环描述偶校验位产生电路) 代码一: library ieee; use ieee.std_logic_11 阅读全文
posted @ 2024-05-11 22:00 讯号 阅读(70) 评论(0) 推荐(0) 编辑