摘要: 避免意外生成锁存器 使用always块生成组合逻辑电路时,如果变量所有可能的取值没有被考虑完全 ,那么综合后可能会出现锁存器。如下面代码所示: module latch_test( a,b,d, c);input [1:0] a,b,d;output [1:0] c;reg [1:0] c; alw 阅读全文
posted @ 2020-09-05 16:25 wangbin0729 阅读(351) 评论(0) 推荐(0) 编辑