摘要: 避免意外生成锁存器 使用always块生成组合逻辑电路时,如果变量所有可能的取值没有被考虑完全 ,那么综合后可能会出现锁存器。如下面代码所示: module latch_test( a,b,d, c);input [1:0] a,b,d;output [1:0] c;reg [1:0] c; alw 阅读全文
posted @ 2020-09-05 16:25 wangbin0729 阅读(351) 评论(0) 推荐(0) 编辑
摘要: 直接上RTL代码\testbench\仿真图、状态图 module test_moore ( clk,rst_n,data_in,out_test ); parameter IDLE = 4'b0000, state1 = 4'b0001, state2 = 4'b0010, state3 = 4' 阅读全文
posted @ 2020-08-07 15:19 wangbin0729 阅读(283) 评论(0) 推荐(0) 编辑
摘要: 1 module sort3 2 ( 3 data_in1,data_in2,data_in3, 4 data_out1,data_out2,data_out3, 5 clk,reset 6 ); 7 8 output [7:0] data_out1,data_out2,data_out3; 9 i 阅读全文
posted @ 2020-07-08 20:03 wangbin0729 阅读(148) 评论(0) 推荐(0) 编辑
摘要: 1.首先,在http://srecord.sourceforge.net/下载srec_cat.exe; 2.新建一个文本文档,点击“另存为”文件后缀为“.bat”,编辑文件转换脚本,例如将.hex文件转换为.mem文件 则输入“srec_cat.exe 文件名.hex -Intel -o 文件名. 阅读全文
posted @ 2020-07-06 14:28 wangbin0729 阅读(930) 评论(0) 推荐(0) 编辑