2015年1月9日

摘要: 继上面介绍了setup文件的基本书写,简单介绍下时钟设置及简单地时序,面积,PVT设置约束。 DC的约束是基于路径的,这里所谓的路径起点是input port 或者clock port of flip-flop或者纯组合逻辑的寄存器输入,终点是output port或者任意时序逻辑的输入. 时钟:... 阅读全文
posted @ 2015-01-09 23:52 素处以默 阅读(772) 评论(1) 推荐(0) 编辑

2015年1月6日

摘要: DC全称Design compiler,synopsys公司的综合工具,这综合EDA算这一家独大了。以自己的应用情况,写下自己基础使用。 启动: 常用就是dc_shell或dc_shell–t,要想看GUI可以在启动上面后,输入gui_start,退出GUI输入stop_gui(并不退出DC)。G... 阅读全文
posted @ 2015-01-06 20:24 素处以默 阅读(3059) 评论(0) 推荐(0) 编辑

导航