上一页 1 ··· 7 8 9 10 11 12 13 14 15 16 下一页
摘要: 按“Shift+E”,十字即可对准中心了。 阅读全文
posted @ 2018-09-20 20:41 tubujia 阅读(997) 评论(0) 推荐(0) 编辑
摘要: 1.I/O, ASDO 在AS 模式下是专用输出脚,在PS 和JTAG 模式下可以当I/O 脚来用。在AS 模式下,这个脚是CII 向串行配置芯片发送控制信号的脚。也是用来从配置芯片中读配置数据的脚。在AS 模式下,ASDO 有一个内部的上拉电阻,一直有效,配置完成后,该脚就变成三态输入脚。ASDO 阅读全文
posted @ 2018-09-13 11:31 tubujia 阅读(326) 评论(0) 推荐(0) 编辑
摘要: 1。编码器原理 什么是正交?如果两个信号相位相差90度,则这两个信号称为正交。由于两个信号相差90度,因此可以根据两个信号哪个先哪个后来判断方向。 这里使用了TI12模式,例如当T1上升沿,T2在低电平时;T1下降沿,T2在高电平时,向上计数,这样的好处是当有毛刺产生的时候,会自动+1 -1过滤掉毛 阅读全文
posted @ 2018-08-31 18:25 tubujia 阅读(399) 评论(0) 推荐(0) 编辑
摘要: 我们在做伺服电机控制的时候,一般会用到正交编码器做速度和位置的反馈控制,这里只提增量式正交编码器,其输出一共有三根线,如下图所示,即A相、B相和Index相,其中A相和B相为互差90度的方波或者正弦波脉冲(可以整形成方波),每转一圈会有若干个脉冲输出(输出脉冲的个数决定了编码器的分辨率,我们常称之为 阅读全文
posted @ 2018-08-31 18:23 tubujia 阅读(1582) 评论(0) 推荐(0) 编辑
摘要: 整理:MilerShao 在用到STM32定时器的更新中断时,发现有些情形下只要开启定时器就立即进入一次中断。准确说,只要使能更新中断允许位就立即响应一次更新中断【当然前提是相关NVIC也已经配置好】。换言之,只要使能了相关定时器更新中断,不管你定时间隔多长甚至不在乎你是否启动了相关定时器,它都会立 阅读全文
posted @ 2018-08-30 13:53 tubujia 阅读(2589) 评论(0) 推荐(0) 编辑
摘要: 一,bit转换成mcs文件 1,打开开始菜单->选择所有应用程序->Xilinx Design Toos->ISE Design Suite 14.7->ISE Design Tools->64 bits Tolls->iMPACT 2,选择Creat PROM File(PROM File For 阅读全文
posted @ 2018-08-03 09:54 tubujia 阅读(897) 评论(0) 推荐(0) 编辑
摘要: 引 言Altcra公司NiosII软核处理器具有完全可定制特性、高性能、较低产品和实旌成本、易用性、适应性以及不会过时等优势。使用NiosII处理器,将不会局限于预先制造处理器技术,而是根据用户标准定制处理器,按照需要选择合适外设、存储器和接口。此外,还可以轻松集成用户专有功能,使设计具有独特竞争优 阅读全文
posted @ 2018-07-20 16:22 tubujia 阅读(244) 评论(0) 推荐(0) 编辑
摘要: 平台 硬件:nios/f 100MHz 软件: 内容 0 一点说明 本文仅讨论所述平台的一点心得,若其他等级的nios或优化,请自行研究。 1 usleep()有多准 参考[笔记].怎样使用Nios II中的timestamp_timer?.[Nios II][SOPC Builder],我们做以下 阅读全文
posted @ 2018-07-19 11:58 tubujia 阅读(244) 评论(0) 推荐(0) 编辑
摘要: 新建工程 打开Vivado软件,直接在欢迎界面点击Create New Project,或在开始菜单中选择File - New Project即可新建工程。 点击Next 输入工程名称和路径。 选择RTL Project,勾选Do not specify......(这样可以跳过添加源文件的步骤,源 阅读全文
posted @ 2018-07-03 22:37 tubujia 阅读(338) 评论(0) 推荐(0) 编辑
摘要: 1、ISE和Vivado中IP核生成的区别即对应关系: 其中.ngc文件为可综合文件,ucf为约束文件。对于IP的仿真,在ISE下要调用XilinxCoreLib这个仿真库,而在Vivado中,生成IP的过程会生成仿真要用到的文件。 2、在Vivado中调用IP会生成的文件 1)实例化的模板,即在自 阅读全文
posted @ 2018-06-30 09:11 tubujia 阅读(1485) 评论(0) 推荐(0) 编辑
上一页 1 ··· 7 8 9 10 11 12 13 14 15 16 下一页