一、保存为.wlf文件

1、完成仿真波形;

2、切换到sim视窗;

3、点击保存图标保存;

4、打开:file菜单打开.wlf文件,选中窗口中的信号右击,add to wave...即可。

二、保存为.do文件

1、保存

    file->save format->xxx.do,当然可以自定义路径及文件名;

2、加载

    在sim视窗下,file->load;

3、.do文件记录了仿真过程命令。

 

posted on 2015-04-08 11:33  tubujia  阅读(570)  评论(0编辑  收藏  举报