摘要: FIFO是英文First In First Out 的缩写,是一种先进先出的数据缓存器,他与普通存储器的区别是没有外部读写地址线,这样使用起来非常简单,但缺点就是只能顺序写入数据,顺序的读出数据,其数据地址由内部读写指针自动加1完成,不能像普通存储器那样可以由地址线决定读取或写入某个指定的地址。 FIFO多用于:1、不同时钟之间的数据传输;2、不同跨度的接口;//程序实现的功能:串口从FIFO中读出数据,然后发送给上位机(串口调试助手) module fifo_uart ( clk, rst_n, rs232_tx )... 阅读全文