2012年2月28日

PLL的modelsim仿真

摘要: 看了好久的modelsim学习资料,写了一个简单的PLL仿真实验,该实验是仿真DE2板子上50MHz时钟输入,经PLL之后输出100MHz的时钟。同时用.do文件来代替烦躁的鼠标操作。首先在Quartus里面例化一个PLL模块,输入为clk,50MHz,输出为clk_100。打开pll.v文件,// ============================================================// File Name: pll.v// Megafunction Name(s):// altpll//// Simulation Library Files(s):/... 阅读全文

posted @ 2012-02-28 14:36 @火枪手@ 阅读(4325) 评论(0) 推荐(2) 编辑

导航