摘要: 一、编写verilog源文件,在diamond中编译。编写testbench文件。在diamond设置中将仿真工具设置为modelsim,运行仿真向导 二、自动进入modelsim, 编译全部 运行仿真 library的work下,选则测试文件,右键仿真 点击运行到或者运行一段等 testbench 阅读全文
posted @ 2015-10-19 21:44 tony_ning 阅读(6428) 评论(0) 推荐(0) 编辑