tomako123

导航

2.3.3 加入monitor

作用:

监测DUT的行为,driver负责把transaction级别的数据转变成DUT的端口级别,并驱动给DUT,monitor的行为与其相对,用于收集DUT的端口数据,并将其转换成transaction交给后续的组件如reference model,scoreboard等处理。

一些代码中用到的方法如下:

monitor代码如下:


需要注意1.所有的monitor类应该派生自uvm_monitor;2.monitor中也需要声明一个interface来连接

3.uvm_monitor在整个仿真中一直存在,它是一个component,要使用uvm_component_utils宏注册。4.monitor需要时刻收集数据,永不停歇,所以在main_phase中使用while(1)来实现这一目的。

这里的monitor将数据转换成transaction交给后续的组件处理。

当完成monitor的定义之后,还需要在env中对其进行实例化:

这里实例化了两个monitor,一个用于检测DUT的输出口,一个用于输入口,原因后面再说

现在整体的UVM树的结构如下图:


实例化完成后还需要再top_tb中使用config_tb将input_if和output_if传递给两个monitor

posted on 2024-05-21 15:28  甜豆莎的辣白菜  阅读(10)  评论(0编辑  收藏  举报