格雷码与二进制码的转换

Gray Code是1880年由法国工程师Jean-Maurice-Emlle Baudot发明的一种编码,是一种绝对编码方式,典型格雷码是一种具有反射特性和循环特性的单步自补码,它的循环、单步特性消除了随机取数时出现重大误差的可能,它的反射、自补特性使得求反非常方便。格雷码属于可靠性编码,是一种错误最小化的编码方式,因为,虽然自然二进制码可以直接由数/模转换器转换成模拟信号,但在某些情况,例如从十进制的3转换为4时二进制码的每一位都要变,能使数字电路产生很大的尖峰电流脉冲。而格雷码则没有这一缺点,它在相邻位间转换时,只有一位产生变化。它大大地减少了由一个状态到下一个状态时逻辑的混淆。由于这种编码相邻的两个码组之间只有一位不同,因而在用于风向的转角位移量-数字量的转换中,当风向的转角位移量发生微小变化(而可能引起数字量发生变化时,格雷码仅改变一位,这样与其它编码同时改变两位或多位的情况相比更为可靠,即可减少出错的可能性。 

但格雷码不是权重码,每一位码没有确定的大小,不能直接进行比较大小和算术运算,也不能直接转换成液位信号,要经过一次码变换,变成自然二进制码,再由上位机读取。解码的方法是用‘0’和采集来的4位格雷码的最高位(第4位)异或,结果保留到4位,再将异或的值和下一位(第3位)相异或,结果保留到3位,再将相异或的值和下一位(第2位)异或,结果保留到2位,依次异或,直到最低位,依次异或转换后的值(二进制数)就是格雷码转换后自然码的值. 

异或:异或则是按位“异或”,相同为“0”,相异为“1”。

一般的,普通二进制码与格雷码可以按以下方法互相转换:
  二进制码->格雷码(编码):从最右边一位起,依次将每一位与左边一位异或(XOR),作为对应格雷码该位的值,最左边一位不变(相当于左边是0);
  格雷码-〉二进制码(解码):从左边第二位起,将每位与左边一位解码后的值异或,作为该位解码后的值(最左边一位依然不变).
  数学(计算机)描述:
  原码:p[0~n];格雷码:c[0~n](n∈N);编码:c=G(p);解码:p=F(c);书写时从左向右标号依次减小.
  编码:c=p XOR p[i+1](i∈N,0≤i≤n-1),c[n]=p[n];
  解码:p[n]=c[n],p=c XOR p[i+1](i∈N,0≤i≤n-1).

四位二进制码和格雷码互转程序

module bin_gray (Binary,Gray);//4bit Binary code to Gray code
input [3:0] Binary;
output [3:0] Gray;
reg [3:0] data_reg;
always @(Binary)
begin
data_reg[3]=Binary[3];
data_reg[2]=Binary[3]^Binary[2];
data_reg[1]=Binary[2]^Binary[1];
data_reg[0]=Binary[1]^Binary[0];
end
assign Gray=data_reg;
endmodule

测试代码

`timescale 1ns / 1ps
module bin_gray_tb;
reg [3:0] Binary;
wire [3:0] Gray;
initial
begin
Binary=0;
#5 Binary=4'b0001;
#10 Binary=4'b0010;
#15 Binary=4'b0011;
#20 Binary=4'b0100;
#25 Binary=4'b0101;
#30 Binary=4'b0110;
#35 Binary=4'b0111;
#40 Binary=4'b1000;
#45 Binary=4'b1001;
#50 Binary=4'b1010;
#55 Binary=4'b1011;
#60 Binary=4'b1100;
#65 Binary=4'b1101;
#70 Binary=4'b1110;
#75 Binary=4'b1111;
#80 Binary=4'b1111;
#90 $stop;
end
bin_gray bin_gray1(.Binary(Binary),.Gray(Gray));

 四位格雷码和二进制码转换

module gray_bin (Gray,Binary);//4bit Gray code to Binary code
input [3:0] Gray;
output [3:0] Binary;
reg [3:0] data_reg;
always @(Gray)
begin
data_reg[3]=Gray[3];
data_reg[2]=Gray[2]^data_reg[3];
data_reg[1]=Gray[1]^data_reg[2];
data_reg[0]=Gray[0]^data_reg[1];
end
assign Binary=data_reg;
endmodule

测试代码

`timescale 1ns / 1ps
module gray_bin_tb;
reg [3:0] Gray;
wire [3:0] Binary;
initial
begin
Gray=0;
#5 Gray=4'b0001;
#10 Gray=4'b0011;
#15 Gray=4'b0010;
#20 Gray=4'b0110;
#25 Gray=4'b0111;
#30 Gray=4'b0101;
#35 Gray=4'b0100;
#40 Gray=4'b1100;
#45 Gray=4'b1101;
#50 Gray=4'b1111;
#55 Gray=4'b1110;
#60 Gray=4'b1010;
#65 Gray=4'b1011;
#70 Gray=4'b1001;
#75 Gray=4'b1000;
#80 Gray=4'b1000;
#90 $stop;
end
bin_gray bin_gray1(.Gray(Gray),.Binary(Binary));
endmodule

posted @ 2015-09-27 15:04  ltm0610doing  阅读(2054)  评论(0编辑  收藏  举报