摘要: 4. UVM -- TLM通信机制与平台的connect 1. 事务 tansaction 事务 tansaction 是一系列具有一定关系和功能的数据集合。 简单来说,一个tansaction就是把具有某一特定功能的一组信息封装在一起而成为的一个类; 事务是UVM平台中信息交互的基本单元 1.1. 从uvm_sequence_item扩展事务 1.2. 阅读全文
posted @ 2022-07-17 19:22 Thisway2014 阅读(624) 评论(0) 推荐(0) 编辑
摘要: 3. UVM -- factory机制与平台组件构建 3. UVM -- factory机制与平台组件构建 3.1. 什么是factory机制 UVM工厂机制可以使用户在不更改代码的情况下实现不同对象的替换; 工厂是UVM的一种数据结构。它的作用范围是整个平台空间,它有且仅有一个实例化对象 (即单实例类)。它是一个 多态构造器,可仅仅使用一个函数让用户 阅读全文
posted @ 2022-07-17 17:17 Thisway2014 阅读(1428) 评论(0) 推荐(0) 编辑
摘要: 2. UVM -- phase机制与UVM验证平台的运行 2. UVM -- phase机制与UVM验证平台的运行 2.1. UVM phase机制 phase机制可以将 UVM仿真阶段 层次化,即 使各个phase按先后顺序执行,同时也使处于同一phase中的层次化组件之间按顺序执行 ,达到同步仿真过程的效果。 phase机制主要包括以下三个主要部分,并 阅读全文
posted @ 2022-07-10 18:15 Thisway2014 阅读(893) 评论(0) 推荐(0) 编辑
摘要: 1. UVM -- 基础知识 1. UVM -- 基础知识 1.1. 什么是UVM 硬件设计主要由几个 Verilog(.v)文件和一个顶部模块组成,其中所有其他子模块都实例化以实现所需的行为和功能。 IC验证需要 设计一个名为 testbench 的环境;用不同的刺激驱动设计,以观察其输出,并将其与预期值进行比较,以查看设计是 阅读全文
posted @ 2022-07-09 21:57 Thisway2014 阅读(1949) 评论(1) 推荐(4) 编辑
摘要: 1. 背景说明 SoC 验证平台既有UVM部分又有C部分,这样就涉及UVM和C 参数统一的问题。脚本采用的是Makefile,下面探讨下通过cmd options将参数传递给sv和C。 2. 方法探讨 2.1. sv 从cmd define传参 makefile 里设置RUNOPTS sv 里使用 阅读全文
posted @ 2022-07-05 17:18 Thisway2014 阅读(483) 评论(0) 推荐(0) 编辑
摘要: AMBA系列 -- AXI 总线 0.绪论 AXI(Advanced eXtensible Interface)是一种总线协议,该协议是ARM公司提出的AMBA3.0中最重要的部分,是一种面向高性能、高带宽、低延迟的片内总线。AMBA4.0将其修改升级为AXI4.0。 AMBA4.0 包括AXI4.0、AXI4.0-lite、ACE 阅读全文
posted @ 2022-06-19 23:36 Thisway2014 阅读(704) 评论(0) 推荐(0) 编辑
摘要: 什么是UVM sequence library sequence library? 其本质是一个sequence, 相对于普通的sequence, sequence library有以下功能: 其他sequence可以向sequence library注册 可根据配置产生并且执行已经在其内部注册过的sequence 具有多种内嵌的选择sequen 阅读全文
posted @ 2022-06-19 22:47 Thisway2014 阅读(154) 评论(0) 推荐(0) 编辑
摘要: https://img2022.cnblogs.com/blog/2748547/202206/2748547-20220617110846757-748082836.png 阅读全文
posted @ 2022-06-17 15:14 Thisway2014 阅读(1501) 评论(0) 推荐(0) 编辑
摘要: 1,AXI 总线 AXI(Advanced eXtensible Interface)是一种总线协议,该协议是[ARM](https://so.csdn.net/so/search?q=ARM&spm=1001.2101.3001.7020) 公司提出的 AMBA(Advanced Microcon 阅读全文
posted @ 2022-06-17 09:51 Thisway2014 阅读(208) 评论(0) 推荐(1) 编辑
摘要: UVM Testbench Architecture UVM testbench 是使用SystemVerilog(动态)类对象与SystemVerilog(静态)接口和结构化层次结构中的模块交互构建的。层次结构由功能层组成,testbench 的中心是被测设计(DUT)。 事务处理器和testbe 阅读全文
posted @ 2022-06-16 22:18 Thisway2014 阅读(390) 评论(0) 推荐(0) 编辑