摘要: UVM Testbench Architecture UVM testbench 是使用SystemVerilog(动态)类对象与SystemVerilog(静态)接口和结构化层次结构中的模块交互构建的。层次结构由功能层组成,testbench 的中心是被测设计(DUT)。 事务处理器和testbe 阅读全文
posted @ 2022-06-16 22:18 Thisway2014 阅读(390) 评论(0) 推荐(0) 编辑