摘要: UVM通过冗余度级别的设置提高了仿真日志的可读性。 在打印信息之前,UVM会比较要显示信息的冗余度级别与默认的冗余度阈值 ,如果小于等于阈值,就会显示,否则不会显示。 默认的冗余度阈值是UVM_MEDIUM,所有低于等于 UVM_MEDIUM(如UVM_LOW)的信息都会被打印出来。 如果冗余度UV 阅读全文
posted @ 2022-05-20 11:02 Thisway2014 阅读(782) 评论(0) 推荐(0) 编辑