VCS -- 仿真效率分析

背景

VCS提供了一套对编译时间和仿真时间分析优化的工具,在compile 和simulation option中加入相应的option;

在simulation log path会多出simulation profile log: profileReport.html

打开html 分析各方法消耗掉的仿真时间和资源,如constraint solver, function coverage, PLI等;

compile option:

-lca -simprofile mem+time

simulation option:

-simprofile time+mem // 最好只开一个,两者会互相影响
+ntb_solver_debug=profile //对解约束的性能分析

Simprofile Report 实例

  • 可以按Hierachy/Module/PLI等等查看仿真环境各个组件对cpu时间的消耗

  • 可以diff两份报告之间的信息(工具目前仅支持diff summary),会在当前目录下生成diff.html

diff结果

  • 使用profrpt -h查看具体命令自行尝试

posted @ 2022-09-14 16:14  Thisway2014  阅读(1375)  评论(0编辑  收藏  举报