Verdi -- Protocol Analyzer Debug

介绍

Verdi Protocol Analyzer 和Verdi Transaction Debug中提及的一样,显示transaction的 “波形” 与具体信息。但是需要配合SNPS VIP使用,显示更多协议相关的信息: 如 latency 、bandwidth 等

配置

Compile Time Options

+define+SVT_AXI_ACE_SNPS_INTERNAL_SYSTEM_MONITOR_USE_MASTER_SLAVE_AGENT_CONNECTION  // Required for master-slave latency metrics.

-lca -kdb // dumps the work.lib++ data for source coding view

+define+SVT_FSDB_ENABLE // enables FSDB dumping

-debug access

vip env config


/** Enable protocol file generat on for protocol Analyzer */
this.master_cfg[i].enable_xml_gen = 1;
this.slave_cfg[i].enable_xml_gen = 1;

this.master_cfg[i].pa_format_type = svt_xml_writer:: ::<XML/FSDB/BOTH>;
this.slave_cfg[i].pa_format_type= svt_xml_writer:: ::<XML/FSDB/BOTH>;
// 0 is XML , 1 FSDB and 2 both XML and FSDB. defauit it wil1 be zero

使用

数据解读

write_latency

  • write命令发出到slave接收到的时间,即wvalid 到 bvalid时间

read_latency

  • rvalid 拉高到rlast完的时间

posted @ 2022-08-17 17:20  Thisway2014  阅读(589)  评论(0编辑  收藏  举报