SystemVerilog -- virtual方法

虚方法 virtual

  1. 类中的方法可以在定义的时候通过添加 virtual关键字 来声明一个虚方法,虚方法是一个基本的 多态性结构

  2. 虚方法为具体的实现提供一个原型,也就是在派生类中,重写该方法的时候必须采用一致的参数和返回值

  3. 虚方法可以 重写 其所有基类中的方法,然而普通的方法被重写后只能在本身及其派生类中有效。

  4. 每个类的继承关系只有一个虚方法的实现,而且是在最后一个派生类中。

  5. 主要应用场景在virtual class,virtual interface 以及 virtual task/function。

  6. OOP三大特性(封装,继承,多态)中的 多态 在SystemVerilog中一般通过 “virtual” 关键字实现。

  7. 通过virtual声明的类,接口,任务与函数,其本身 自带一些方法或者函数。后续的例化或者扩展可以对原有的内容进行增加或者修改 ,从而实现同一函数不同方法的多种形态.


1. virtual interface

  • 在interface定义时,如果 不使用 关键字 “virtual” 那么在多次调用该接口时,在其中的一个实例中对接口中某一信号的修改 会影响 其他实例接口;如果 使用 了 “virtual” 关键字,那么每个实例是 独立 的。

  • 习惯上在声明interface时均添加 "virtual"关键字。


2. virtual task/function

  • 用于OOP思想的继承使用。当定义了virtual时,在子类中调用某task/function时,会 先查找在子类中是否定义了该 task/function,如果子类没有定义,则在父类中查找

  • 未定义virtual时,只在子类中查找,没有定义就是编译器报错。

  • 如果某一class会被继承,则用户定义的task/function (除new(),randomized(),per_randomize(),pose_randomize()外) ,都应该加上virtual关键字,以备后续扩展。


3. virtual class

  • 虚类一般用来定义类的格式、类的成员、类的参数等,

  • 虚类不能被实例化,只能被扩展(重载)后实例化 ,用于在项目中定义一些标准的类。即virtual class无法创建相应的对象(object)。尝试使用 构造函数new() 构造 virtual class的对象(object)将给出编译错误 。

  • 虚类中的方法通常使用关键字 " pure virtual " 纯虚方法。同时OOP规定,只要class中存在一个没有被实现的pure function,就不允许例化这个class。

  • pure virtual function(纯虚方法):没有实体的方法原型,相当于一个声明,只能在抽象类中定义。

  • virtual class (抽象类):可以被扩展但是不能被直接例化,由抽象类扩展而来的类,只有在所以的虚拟方法都有实体的时候才能被例化。

  • 在class声明之前加上了virtual关键字,用于定义特定目的模板类,即定义了扩展类需要实现的原型。

  • 在扩展类中需要实现virtual class中的方法。

  • 在UVM类库中定义的类库大多数为virtual class,几乎所有用户定义的UVM验证平台都是根据用户定义的类构建的,这些类是UVM组件类的扩展。例如所有用户定义的transactions都是uvm_sequence_item virtual class的扩展类,所有用户定义的sequence都是uvm_sequence virtual class的扩展类。

  • UVM基类库是用户扩展以构建UVM验证平台的一组模板文件。UVM中一个显著虚类是uvm_void:里面不包含任何成员变量以及函数,是所有其他UVM类的基类。

virtual class uvm_void;
endclass

使用virtual task/function — (子类将父类 display function进行了改造 — 即多态):

image
image

不使用virtual — (子类将父类 function 各自是各自的):

image
image

posted @ 2022-05-19 16:13  Thisway2014  阅读(360)  评论(0编辑  收藏  举报