2013年5月21日

5.  Design Partition Guidelines

摘要: 5. Design Partition Guidelines types of optimizations are prevented by partition boundaries, you can structure or modify your partitions to avoid these limitations.5.1 Register Partition Inputs and Outputs Registers minimize the delays on inter-partition paths and prevent the need for cross-b... 阅读全文

posted @ 2013-05-21 16:01 testset 阅读(186) 评论(0) 推荐(0) 编辑

4.  General Partitioning Guidelines

摘要: 4. General Partitioning Guidelines The first step in planning your design partitions is to organize your source code4.1 Plan Design Hierarchy and Design Files hierarchy : the partition includes the assignedinstance and entities instantiated below that are not defined as separate partiti... 阅读全文

posted @ 2013-05-21 15:30 testset 阅读(164) 评论(0) 推荐(0) 编辑

3.  Why Plan Partitions and Floorplan Assignments?

摘要: 3. Why Plan Partitions and Floorplan Assignmentsmore planning is required setting up the design logic for partitioning may also involve planning placement assignments to create a floorplan more rigorous about following good design practices3.1 Partition Boundaries and Optimization logic opti... 阅读全文

posted @ 2013-05-21 15:12 testset 阅读(151) 评论(0) 推荐(0) 编辑

2.  Design Flows Using Incremental Compilation

摘要: the standard incremental compilation flow partitions can be compiled and optimized together in one Quartus II project all designlogic is compiled with a consistent set of assignments perform global placement and routing optimizations easier to ensure good quality of resultsthe team-based increme... 阅读全文

posted @ 2013-05-21 10:32 testset 阅读(199) 评论(0) 推荐(0) 编辑

1.  Overview: Incremental Compilation

摘要: 1. Overview: Incremental Compilation optional compilation flow ------ default "flat" compilation flow logical hierarchy boundaries --> set up to support --> creat floor plan; partial reconfiguration 1.1 recommendation for netlist typeSourceassignmentconstraintssourceThe software auto 阅读全文

posted @ 2013-05-21 09:54 testset 阅读(159) 评论(0) 推荐(0) 编辑

2013年5月7日

s

摘要: altera rld-ssn; rld-timequest; rld-io planning; rld-uvm; rld-uvm regacess, covergroup rb_code of ddr ram rld-prog i/o delay dqdqs and dbuf and phy and training module rld - partitions rld- efficience rld - the simulation example of altera rld used,to generate randum test from write and r... 阅读全文

posted @ 2013-05-07 16:10 testset 阅读(121) 评论(0) 推荐(0) 编辑

2013年4月7日

section 1

摘要: 1. quartus setup 1.1 assignment-->setting 1.2 editor-> sdc file case sensitive2. core timing 2.1 create_clock 2.2 derive_pll_clockcreate_generated_clocktransceiver_clock 2.3 derive_clock_uncertainty 2.4 set_clock_groupsun-relatedoptions -asynchronous,-exclusive ASIC, no matters in FPG... 阅读全文

posted @ 2013-04-07 11:18 testset 阅读(125) 评论(0) 推荐(0) 编辑

2013年4月3日

tq max and min delay

摘要: setup and hold relationships1. default2. multicycles : choose different clock edges of the existing waveforms.3. max and min : modify setup and hold relationships to arbitrary values.max and mindevice-centric constraintssystem-centric constraintsmax min as substitutes for these device-centri... 阅读全文

posted @ 2013-04-03 18:11 testset 阅读(178) 评论(0) 推荐(0) 编辑

tq multicycles

摘要: multicycles 1. determining multicycle relationship in 5 steps 1.1 default setup relationship = set_multicycle_path -setup 1 1.2 setup relationship = default_setup_relation + (MC_SETUP_VALUE -1)*clock_period; 1.3 source-synchronous interface :MC_SETUP_VALUE maybe 0 1.4 -start : laun... 阅读全文

posted @ 2013-04-03 14:37 testset 阅读(121) 评论(0) 推荐(0) 编辑

2013年4月1日

that being said

摘要: 话虽如此The same as saying.... however, never the less, yet, on the other hand.Usually it means that they are about to contradict what they just said, or follow up with some exception to what they just said. It implies that they wish to acknowledge that there is more than one side to an issue.For exampl 阅读全文

posted @ 2013-04-01 09:34 testset 阅读(300) 评论(0) 推荐(0) 编辑

导航