2013年6月4日

0604

摘要: 1. -----> D ----> 的周期 | | |-<- T -<-|2. sequential -> timing; combinational -> logic;3. output can not directly use the input signal4. test 2 if one register, .v .sv case para synthesis ; full; unique case in sv 阅读全文

posted @ 2013-06-04 20:16 testset 阅读(100) 评论(0) 推荐(0) 编辑

2013年5月24日

priority of uncertainty

摘要: set_clock_latency models board-level clock delays most useful is for I/O constraints,derive_clock_uncertainty calls out individual set_clock_uncertainty for every clock transferderive_clock_uncertainty’s individual calls of set_clock_uncertainty occur when the timing netlist is being updated, which 阅读全文

posted @ 2013-05-24 14:38 testset 阅读(219) 评论(0) 推荐(0) 编辑

priority of setup/hold

摘要: periodsetup/holdapplied toadd4create_clock√√nodesecond assignment will be ignoredCREATE_GENERATED_CLOCK√√nodeignore the new constraint and issue a warningDERIVE_PLL_CLOCKS√√nodeSET_INPUT_DELAY/SET_OUTPUT_DELAY√node(external)there is another external register connected to the portoverride the first t 阅读全文

posted @ 2013-05-24 13:47 testset 阅读(165) 评论(0) 推荐(0) 编辑

priority period

摘要: periodsetup/holduncertaintyapplied toaddcreate_clock√√nodesecond assignment will be ignoredCREATE_GENERATED_CLOCK√√nodeignore the new constraint and issue a warningDERIVE_PLL_CLOCKS√√nodeIf a create_clock or create_generated_clock apply a clock to a node that already has a clock on it from a previou 阅读全文

posted @ 2013-05-24 12:09 testset 阅读(171) 评论(0) 推荐(0) 编辑

the categories of constraints

摘要: period setup/hold uncertainty applied to add create_clock √ √ node second assignment will be ignored ... 阅读全文

posted @ 2013-05-24 10:58 testset 阅读(215) 评论(0) 推荐(0) 编辑

2013年5月23日

SECTION 4: THE TIMEQUEST GUI

摘要: SECTION 4: THE TIMEQUEST GUI .................................................................................................................... 96ENTERING SDC CONSTRAINTS FROM THE GUI........................................................................................................ 96Method # 阅读全文

posted @ 2013-05-23 14:47 testset 阅读(168) 评论(0) 推荐(0) 编辑

0523

摘要: SET_MULTICYCLE_PATH .......................................................................................................................................... 80-from/-rise_from/-fall_from - These options control the source-to/-rise_to/-fall_to -These options control the destinationvalues increase, 阅读全文

posted @ 2013-05-23 13:26 testset 阅读(160) 评论(0) 推荐(0) 编辑

2013年5月22日

tiny mistake made confusing issues

摘要: 1. vsim -c do run.dothis output is : # vsim -c do run.do # ** Error: (vsim-19) Failed to access library 'run' at "run".# No such file or directory. (errno = ENOENT)# Error loading designnote the correct command syntax is vsim -c -do run.do, DO NOT neglect the - 阅读全文

posted @ 2013-05-22 09:52 testset 阅读(136) 评论(0) 推荐(0) 编辑

2013年5月21日

7.  Checking Partition Quality

摘要: 7. Checking Partition Quality7.1 Incremental Compilation Advisor7.2 Design Partition Planner7.3 Viewing Design Partition Planner and Floorplan Side-by-Side7.4 Partition Statistics Report7.5 Report Partition Timing in the TimeQuest Timing Analyzer7.6 Check if Partition Assignments Impact the ... 阅读全文

posted @ 2013-05-21 16:14 testset 阅读(146) 评论(0) 推荐(0) 编辑

6.  Design Partition Guidelines for Third-Party IP Delivery

摘要: 6. Design Partition Guidelines for Third-Party IP Delivery6.1 Allocate Logic Resources6.2 Allocate Global Routing Signals and Clock Networks if Required6.3 Assign Virtual Pins6.4 Perform Timing Budgeting if Required6.5 Drive Clocks Directly6.6 Recreate PLLs for Lower-Level Partitions if Requ... 阅读全文

posted @ 2013-05-21 16:06 testset 阅读(130) 评论(0) 推荐(0) 编辑

导航